• Matéria: Informática
  • Autor: Lizdeliz
  • Perguntado 7 anos atrás

Como fazer um somador/subtrator de 8 bits em VHDL?

Respostas

respondido por: vchinchilla22
0

Para fazer um somador/subtrator de 8 bits em VHDL na Plataforma Windows, são necessarios os seguintes componentes:  1) Multiplexador, 2) Inversor e 3) Somador de 8 bits.

Para fazer um somador/subtrator de 8 bits em VHDL tems que cumprir os seguintes passos:

1) Ir á janela principal do sistema ISE para criar o projeto, FILE ⇒ New Project

2) Na janela Project name, colocar o nome do projeto, e linguagem VHDL

3) Logo no um ícone do projeto clicar o  botão direito, para criar um novo Source e o nomeamos addsub

4) São declaradas as portas de  entrada: busX, busY e op, e as de saída: busS e overflow.

5) Declarar o campo MSB  das portas BUS com o valor 7 como na figura 1

6) São declarados os componentes e os sinais, como na figura 2

7) Se define como funcionaram os componentes declarados dentro do begin no código-fonte, como na figura 3

8) Clicar no  botão Implement Top Module na barra de ferramentas para visualizar o esquema do  somador/subtrator

9) Clicar na janela Sources o ícone do somador/subtrator para e navegar até Synthetize - XST >> View RTL Schematic para visualizar o addsub, como na figura 4

Anexos:
Perguntas similares