• Matéria: Informática
  • Autor: gienieni81
  • Perguntado 2 anos atrás

avalie as asserções a seguir e a relação sugerida entre elas. i. um analisador lógico, instrumento para capturar, exibir e medir vários sinais eletrônicos simultaneamente em um circuito digital, pode ser usado na depuração de rastreamento em tempo real porque ii. ele pode avaliar sinais do sistema embarcado sem alterar ou interferir no desempenho do processo. a respeito dessas asserções, assinale a alternativa correta. a asserção i é uma proposição verdadeira, e a ii é uma proposição falsa.

Respostas

respondido por: thipolido
0

O analisador lógico é um dispositivo que verifica circuitos lógicos sem interferir diretamente no funcionamento desse dispositivo. Ele apenas lê registros que não vão causar algum efeito colateral no dispositivo.

Sabendo disso, podemos concluir que ambas as afirmações são verdadeiras e a II é justificativa da I, ou seja, a alternativa correta é a letra A.

Analisador Lógico

Esse dispositivo é um instrumento utilizado para testar circuitos digitais ou lógicos que são tidos como complexos. Ele pode registrar e mostrar o tempo relativo de um grande número de sinais.

O Analisador apresenta ao usuário os traços de sinais lógicos e isso possibilita que várias linhas em um circuito digital sejam monitoradas ao mesmo tempo.

Questão completa:

"Avalie as asserções a seguir e a relação sugerida entre elas.

I. um analisador lógico, instrumento para capturar, exibir e medir vários sinais eletrônicos simultaneamente em um circuito digital, pode ser usado na depuração de rastreamento em tempo real

PORQUE

II. ele pode avaliar sinais do sistema embarcado sem alterar ou interferir no desempenho do processo.

A respeito dessas asserções, assinale a alternativa correta:

A) A asserção i é uma proposição verdadeira, e a ii é uma proposição falsa.

B) As asserções I e II são proposições verdadeiras e a II é uma justificativa da I.

C) As asserções I e II são proposições falsas.

D) A asserção I é uma proposição falsa, e a II é uma proposição verdadeira.

E) As asserções I e II são proposições verdadeiras, mas a II não é uma justificativa correta da I."

Saiba mais sobre outros Estudos de Lógica em: https://brainly.com.br/tarefa/22668196

#SPJ4

Perguntas similares